NBTI-Aware Nanoscaled Circuit Delay Assessment and Mitigation

نویسندگان

  • Seyab Khan
  • Said Hamdioui
چکیده

As semiconductor manufacturing entered into nanoscale era, performance degradation due to Negative Bias Temperature Instability (NBTI) became one of the major threats to circuits reliability. In this paper, we present a model and analysis of NBTI impact on circuit delays. First, we model NBTI impact on gate intrinsic delay and output transition delay. The insights of our models reveal that NBTI causes additional 6.7% intrinsic and 3% output transition delays to a gate. Second, we analyze delays in the gates at the inputs and outputs of a circuit. Simulation results from several benchmark circuits show that under a given condition identical gates at the circuit outputs suffer from 3.33% additional delays as compared to gates at the circuit inputs. Third, we analyze different techniques at transistor and circuit levels to mitigate NBTI. From the analysis of mobility increment, gate oversizing, temperature reduction, and supply voltage increment techniques, we conclude that temperature reduction is the most effective to mitigate NBTI.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

NBTI Mitigation Method by Inputting Random Scan-In Vectors in Standby Time

Negative Bias Temperature Instability (NBTI) is one of the serious concerns for long-term circuit performance degradation. NBTI degrades PMOS transistors under negative bias, whereas they recover once negative bias is removed. In this paper, we propose a mitigation method for NBTI-induced performance degradation that exploits the recovery property by shifting random input sequence through scan ...

متن کامل

An Efficient Design Approach for Low Leakage with NBTI Aware Analysis

As the technology scales down the leakage current in the circuit increases due to reduction in threshold voltage and Negative Bias Temperature Instability (NBTI) producing aging effect in the circuit. Leakage current and NBTI strongly depends on Input Vector Control Technique (IVC) , but IVC is not effective for larger circuits. Therefore in this paper two new designs (1)Ultra low power diode b...

متن کامل

Temperature-Aware NBTI Modeling Techniques in Digital Circuits

Negative bias temperature instability (NBTI) has become a critical reliability phenomena in advanced CMOS technology. In this paper, we propose an analytical temperature-aware dynamic NBTI model, which can be used in two circuit operation cases: executing tasks with different temperatures, and switching between active and standby mode. A PMOS Vth degradation model and a digital circuits’ tempor...

متن کامل

Minimizing leakage power in aging-bounded high-level synthesis with design time multi-Vth assignment

Aging effects (such as Negative Bias Temperature Instability (NBTI)) can cause the temporal degradation of threshold voltage of transistors, and have become major reliability concerns for deep-submicron (DSM) designs. Meanwhile, leakage power dissipation becomes dominant in total power as technology scales. While multi-threshold voltage assignment has been shown as an effective way to reduce le...

متن کامل

An optimization method for NBTI-aware design of domino logic circuits in nano-scale CMOS

In this paper, the impact of negative bias temperature instability (NBTI) on dynamic logic circuits is analyzed and a design technique for a wide fan-in domino gate based on Genetic Algorithm (GA) optimization is proposed. In this technique, the degraded delay due to NBTI during the lifetime of the circuit is minimized subject to the constraints on area, power consumption and unity noise gain (...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2011